Intel Foundry Achieves Major Milestones, Intel 18A Fabrication Process meets Key Benchmarks, Panther Lake CPU Production sets for 2025

HIGHLIGHTS

* Intel 18A fabrication process will be used to manufacture a new generation of chips: Panther Lake and Clearwater Forest.

* Intel says these are out of the fabrication and have powered on and boosted the OS.

* The production of these two products is on track to start in 2025.

* Intel’s foundry business is progressing with the release of the 18A Process Design Kit (PDK) 1.0, essential for completing chip designs.

Intel today announced that its lead products on Intel 18A, Panther Lake (AI PC client processor) and Clearwater Forest (server processor), are out of the fab and have powered-on and booted operating systems.

These milestones were achieved less than two quarters after tape-out, with both products on track to start production in 2025.

The company also announced that the first external customer is expected to tape out on Intel 18A in the first half of next year.

Intel has announced that its Panther Lake (AI PC client processor)

“We are pioneering multiple systems foundry technologies for the AI era and delivering a full stack of innovation that’s essential to the next generation of products for Intel and our foundry customers. We are encouraged by our progress and are working closely with customers to bring Intel 18A to market in 2025.” –Kevin O’Buckley, Intel senior vice president and general manager of Foundry Services

“Cadence’s strategic collaboration with Intel Foundry helps accelerate our mutual customers’ innovation by providing access to industry-leading EDA solutions and IP optimized for Intel 18A,” said Tom Beckley, senior vice president and general manager, Custom IC & PCB Group at Cadence. “It is very encouraging to see Intel 18A achieve this critical milestone, and we are pleased to support customers on their leading-edge designs on 18A.”

Shankar Krishnamoorthy, general manager of the EDA Group at Synopsys, said, “It’s great to see Intel Foundry hitting these critical milestones. With 18A now customer-ready, Intel Foundry is bringing together the necessary components needed to design next-generation AI solutions that our mutual customers require and expect. Synopsys plays a mission-critical role as an on-ramp to the world’s foundries, and we are proud to work with Intel Foundry to enable Synopsys’ leading EDA and IP solutions for their leading-edge process.”

Both Panther Lake and Clearwater Forest have successfully booted operating systems

In July, Intel released the 18A Process Design Kit (PDK) 1.0, design tools that enable foundry customers to harness the capabilities of RibbonFET gate-all-around transistor architecture and PowerVia backside power delivery in their designs on Intel 18A.

Electronic design automation (EDA) and intellectual property (IP) partners are updating their offerings to enable customers to begin their final production designs.

These milestones show Intel Foundry is the first to successfully implement both RibbonFET gate-all-around transistors and PowerVia backside power technology for foundry customers.

Intel’s foundry business is progressing with the release of the 18A Process Design Kit (PDK) 1.0

Through ecosystem EDA and IP tools and process flows, RibbonFET and PowerVia are groundbreaking innovations that Intel Foundry makes available to all customers through Intel 18A.

Working in concert with its resilient, more sustainable and trusted manufacturing capacity and supply chain, as well as the industry’s leading advanced packaging technology, Intel Foundry brings together all the components needed to design and manufacture next-generation AI solutions that scale and run more efficiently.

Intel today announced that its lead products on Intel 18A

In successfully booting operating systems without additional configurations or modifications, both Panther Lake and Clearwater Forest are clearly indicating the health of Intel 18A — the company’s leading-edge process technology that is expected to return Intel to process leadership in 2025.

Other signs of health include Panther Lake DDR memory performance already running at target frequency.

Next year’s Clearwater Forest, the archetype of future CPU and AI chips, will mark the industry’s first mass-produced, high-performance solution combining RibbonFET, PowerVia, and Foveros Direct 3D for higher density and power handling.

Clearwater Forest is also the lead product for the Intel 3-T base-die technology.

The company also announced that the first external customer is expected to tape out on Intel 18A in the first half of next year

Leveraging Intel Foundry’s systems foundry approach, both products are expected to deliver significant improvements in performance per watt, transistor density and cell utilization.

In gaining access to the Intel 18A PDK 1.0 last month, the company’s EDA and IP partners are updating their tools and design flows to enable external foundry customers to begin their Intel 18A chip designs.

This is a critical enabling milestone for Intel’s foundry business.

These core Intel 18A technologies enable greater processor scale and efficiency, which is required to drive forward AI computing.

RibbonFET allows for tight control over the electrical current in the transistor channel, enabling further miniaturization of chip components while reducing power leakage, a critical factor as chips become increasingly dense.

The first external customer’s chip design is set to tape out in early 2025

PowerVia optimizes signal routing by separating power delivery from the front side of the wafer, thus reducing resistance and improving power efficiency.

Together, these technologies demonstrate a powerful combination that could lead to substantial gains in computing performance and battery life in future electronic devices.

Intel’s first-to-market position with both technologies is a win for foundry customers worldwide.

Faqs

How do these milestones matter ?

These milestones show Intel Foundry is the first to successfully implement both RibbonFET gate-all-around transistors and PowerVia backside power technology for foundry customers.

Through ecosystem EDA and IP tools and process flows, RibbonFET and PowerVia are groundbreaking innovations that Intel Foundry makes available to all customers through Intel 18A.

How it Works?

In successfully booting operating systems without additional configurations or modifications, both Panther Lake and Clearwater Forest clearly indicate the health of Intel 18A—the company’s leading-edge process technology that is expected to return Intel to process leadership in 2025.

Other signs of health include Panther Lake DDR memory performance already running at target frequency.

Next year’s Clearwater Forest, the archetype of future CPU and AI chips, will mark the industry’s first mass-produced, high-performance solution combining RibbonFET, PowerVia, and Foveros Direct 3D for higher density and power handling.

How are Customers are Involved?

In gaining access to the Intel 18A PDK 1.0 last month, the company’s EDA and IP partners are updating their tools and design flows to enable external foundry customers to begin their Intel 18A chip designs.

This is a critical enabling milestone for Intel’s foundry business.

Also Read: Intel Announces 14th Gen Core HX and Ultra CPUs at CES 2024

Also Read: Intel Core 14th Gen i9-14900KS Powers Desktop PCs to Record-Breaking Speeds